巴士下载站 / 汇聚当下最新最酷的软件下载站!
巴士下载站
您的位置: 首页 >软件下载 > 行业软件 > 机械电子 > 电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版 v8.40

巴士为您推荐: SIMetrix SIMPLIS 电路仿真设计

SIMetrix SIMPLIS是一款非常好用的专业电路仿真设计软件,适用于电力和电子行业,软件拥有操作简单、上手快以及速度快等特点,提供了丰富实用的功能模块,包含了simetrix和simplis两个功能模块,一个基于SPICE的模拟器,另一个则是使用分段线性近似的快速模拟器,可以方便工程师进行各类电源开关的实验等操作,能够快速模仿各种复杂的仿实验,比如开关电源的损耗分析、环路分析、大信号分析、IC设计等,可以满足不同工程师的需求。SIMetrix SIMPLIS包含了一个增强型SPICE仿真器,原理图编辑器和波形显示器,具有优秀的收敛性能和仿真速度,是专为开关电源系统设计开发的仿真引擎,作为电源系统设计与新产品开发的仿真标准,广泛应用于各类电源设计,通讯设备等领域,同时集成了灵活的原理图编辑功能和强大的仿真结果后处理工具,拥有已为业界公认的优越性能和出色技术支持,是一种实惠高效的EDA解决方案。其完美结合了精度与收敛性能,实现了电源电路的高速仿真,为专业的电子工程师提供混合模式电路仿真程序包,可以对各种功能的电路、变压器进行仿真,各种复杂的仿真实验都可以轻松实现,用来实验开关电源的各个功能电路非常不错,精通之后,也能进行更复杂的仿真实验。

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

安装破解教程

1、在巴士下载站下载安装包并解压缩,注意需要断开网络进行安装,32位为8.30、64位为8.40自行选择安装

1、下载解压后双击“sx82-x64.exe”开始提取文件

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

2、稍等一会儿进入到SIMetrix SIMPLIS的安装向导界面

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

3、继续下一步出现软件的许可协议,选择i accept继续安装

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

4、选择软件的安装目标文件夹,默认为 “C:Program FilesSIMetrix820”

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

5、继续next安装就可以了

6、先不要运行软件,以管理员身份运行simetrix.simplis.8.xx-patch.exe,点击Patch,打开安装目录中的Sim.exe,补丁完成后点击Exit退出注册机

注:默认路径C:Program FilesSIMetrix840in64Sim.exe

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

7、再次以管理员身份运行simetrix.simplis.8.xx-patch.exe,点击Patch,打开安装目录中的simplis.exe,补丁完成后点击Exit退出注册机

注:默认路径C:Program FilesSIMetrix840insimplis.exe

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

8、第三次是打开DVMAdvanced.dll,同样的按照上面的方式

注:默认路径C:Program FilesSIMetrix840pluginsfunctionsDVMAdvanced.dll

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

9、运行SIMetrix SIMPLIS后,需要选择许可证类型,默认勾选许可证类型选择Stand Alone or Portable即可

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

10、点击Install License按钮,浏览打开安装包文件夹内的License.lic安装许可证文件

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

11、安装破解完成,软件已经可以免费使用了

SIMetrix SIMPLIS破解版下载-电路仿真设计软件SIMetrix SIMPLIS v8.40 破解版下载

应用亮点

一、SIMPLIS模拟器

SIMPLIS仿真器专门用于开关功率仿真。

1、快速开关功率仿真

SIMPLIS模拟器提供:

瞬态分析比SPICE快10-50倍

定期工作点(POP)分析

高级数字仿真库

2、小信号AC分析

SPICE AC分析的优越方法:

在完整的切换模型上运行

快速闭环分析

不需要平均模型

3、SPICE模型转换

自动转换SPICE模型:

功率MOSFET

IGBT的

二极管和齐纳二极管

双极晶体管

二、SIMetrix / SIMPLIS开发环境

SIMetrix SIMPLIS使用与SIMetrix相同的全功能开发环境来设计和测试电路。它具有内置的原理图编辑器,符号编辑器,波形查看器和用于SIMetrix脚本和Verilog代码的文本编辑器,以及许多可视化工具,可帮助您进行设计和测试。

1、原理图编辑器

简单的元件和电线放置

互动部件选择器

注释对象

智能点对点布线

分层设计

2、波形查看器

清晰准确地绘制模拟数据

易于获取测量读数

3、另外

窗口布局定制

语法突出显示了SIMetrix脚本和Verilog编辑器

命令shell直接访问脚本系统

功能特色

一、原理图输入

1、便于使用

原理图编辑器提供了清晰的设计视图,使用起来直观,操作流畅。

简单的元件和电线放置

智能点对点自动布线

用于组件选择的综合零件选择器

2、更清晰的演示工具

一个伟大的设计不仅效果很好,而且可以呈现给其他人。

所有原理图元素的全彩色和样式定制

用于突出显示和描述您的设计的注释

将设计简单地转移到其他演示软件

3、可扩展到您的问题

从小型测试电路到大型复杂系统,原理图编辑器为您的设计提供了可扩展的解决方案。

绘图和与大电路交互的高性能

允许将问题拆分为单独文件的分层组件

符号编辑器允许设计您自己的组件和品牌

二、波形查看

1、准确的绘图

来自模拟的数据直接传递给波形查看器,而不会出现精度损失。

多曲线绘图

用户指定缩放

可定制的颜色

2、直观的测量

通过提供的测量工具,可以更轻松地从波形查看器中读取值。

曲线跟踪游标

跟踪游标之间的比较

自动计算常用信息,如RMS,频率,上升和下降时间。

三、脚本和Verilog编辑器

1、脚本编辑器

内置的文本编辑器允许从SIMetrix内部开发脚本和Verilog代码。

原理图和Verilog代码的语法高亮显示

提供脚本命令和函数的提示

脚本可以在编辑器中运行

四、系统功能

1、命令外壳

在底层系统中提供交互式窗口,命令shell可以最大程度地控制程序。

报告警告或通知消息

直接访问脚本系统

高级用户的高级功能

2、应用程序定制

程序的布局可以根据您的需要进行定制。

重新排列窗口中所有编辑器和视图的位置和布局

更改菜单列表并添加自己的菜单功能

浅色和深色UI风格

SIMetrix SIMPLIS破解版下载

猜你喜欢
排行榜
回顶部 去下载