巴士下载站 / 汇聚当下最新最酷的软件下载站!
巴士下载站
您的位置: 首页 >软件下载 > 行业软件 > 机械电子 > Modelsim 10.1c x64 Linux 破解工具 绿色版

巴士为您推荐: Modelsim 10 1c x64 Linux 破解工具

Modelsim 6.5e破解工具是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。

【软件特点】

它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。

UNIX/Linux:

• install.<platform>

• <product>-base.mis

• <product>-docs.mis

• <product>-gcc-<platform>.mis

• <product>-<platform>.mis

则需要下载

install.linux

modelsim-base.mis

modelsim-docs.mis

modelsim-gcc-linux.mis

modelsim-linux.mis

【使用方法】

下载完成后,直接运行 ./install.linux

如果权限不够,添加权限 chmod a+x install.linux

由于是图形界面,很easy。

【破解方法】

1.将ModelSim6_5_KeyGen.rar解压到你因为合适的目录下。

2.安装wine:aptitude install wine

3.用wine运行MentorKG.exe:wine MentorKG,运行完会生成LICENSE.TXT文件,将其改名为license.dat并复制到/opt/modelsim/modeltech/目录下(我的安装目录,你的根据情况来)。

4.更改环境变量,在中断下输入:sudo gedit ~/.bashrc,打开.bashrc文件,在文件尾部添加如下两行

export LM_LICENSE_FIEL=/opt/modelsim/modeltech/license.dat

export PATH=$PATH:/opt/modelsim/modeltech/linux

保存后退出。

5.在中断中敲入:source ~/.bashrc,使环境变量生效(可用echo命令来查看是否生效,比如:echo $LM_LICENSE_FIEL,看看会出现什么)

6.在终端中敲入:vsim。看是否出现了久违的modelsim图形化界面。

【其他】

经过以上步骤可以在root用户下运行modelsim,但是在普通用户下还是没法运行modelsim,以我为例,我的普通用户的账号是clp。

1.刚才一直在用root权限操作,现在切换到普通用户下:su clp

2.同样修改.bashrc文件:gedit ~/.bashrc,在.bashrc文件末尾添加如下两行:

export LM_LICENSE_FIEL=/opt/modelsim/modeltech/license.dat

export PATH=$PATH:/opt/modelsim/modeltech/linux

3.中断输入:source ~/.bashrc

4.最后在中断输入:vsim。是否也出现modelsim图形化界面了呢?

【运行】

vsim

如果不能创建文件,考虑权限问题

Modelsim 10.1c x64 Linux 破解工具 绿色版下载

巴士下载站提醒您:

不过破解程序是要在windows下跑。建议把windows的mac地址改为linux的mac地址,运行破解程序,得到的license在linux也可用。

下载

猜你喜欢
排行榜
回顶部 去下载